我在 Verilog 中编写了这段代码,没有错误消息,但它不起作用

gela gela

这是模块:

module test (output reg [7:0] Q_out, input [2:0] data_in); 
always 
    begin
        case (data_in)
        3'b000: Q_out = 8'b10000000;
        3'b001: Q_out = 8'b01000000;
        3'b010: Q_out = 8'b00100000;
        3'b011: Q_out = 8'b00010000;
        3'b100: Q_out = 8'b00001000;
        3'b101: Q_out = 8'b00000100;
        3'b110: Q_out = 8'b00000010;
        3'b111: Q_out = 8'b00000001;
        endcase
    end
endmodule

这是测试台

module test2();

reg [2:0]data_in;
wire [7:0] Q_out;

test uut (.data_in(data_in), .Q_out(Q_out));

initial begin

data_in=000;
#5;

data_in=001;
#5;

data_in=010;
#5;

data_in=011;
#5;

data_in=100;
#5;

data_in=101;
#5;

data_in=110;
#5;

data_in=111;
#5;
end

endmodule

在我进入模拟部分之前,一切似乎都有效。

波浪

然后,在我尝试模拟它之后,波形中没有真正显示出来。可能是什么问题呢?

工具的

test您在模块中有一个无限循环。改变:

always

到:

always @*

由于您的模块没有延迟always,因此它会在时间 0 处一直触发,并且时间不会在模拟中提前。通过添加敏感度列表 ( ),该模块仅在其任何输入 ( ) 更改状态@*时触发。data_in

你也可以使用always_comb. 请参阅 IEEE Std 1800-2017,第 9.2.2.2.2 节always_comb 与 always @ *的比较

本文收集自互联网,转载请注明来源。

如有侵权,请联系 [email protected] 删除。

编辑于
0

我来说两句

0 条评论
登录 后参与评论

相关文章

JavaScript代码不起作用,编写了此代码来验证我的表单,但它不起作用

我已经使用StringBuilder类在Java中编写了回文代码,但是它不起作用?

我从我们的书中复制了这段代码,但它不起作用

我在 Verilog 上的定义中有错误 for

我已经为基于FSM的串行加法器电路编写了Verilog代码,但是却遇到某种语法错误

我编写了这段代码,以用javascript在Esri的Arcgis地图上显示标记,但这不起作用,请在我的代码中描述问题?

我试过调试这段代码,但它似乎不起作用

我如何在 Verilog 中编写这个 For 循环

我有这段代码可以从设备中获取 gmail id,但它在 6.0 及更高版本中不起作用

我的“并行到串行”verilog 代码有什么问题

我没有从4位全加器Verilog获得任何输出

Verilog未签名的非还原部门。语法错误:“我放弃” Icarus Verilog

我的 vba 代码似乎不起作用,即使没有错误

我无法在我的 Verilog 代码中处理此错误:“错误:格式错误的语句”

Verilog 函数 - 无法弄清楚我的错误

我在laravel代码中找不到问题。但它不起作用。(本土化)

我试图编写一个代码来查找一个单词是否是回文,但它不起作用。它有什么问题?

在elasticsearch中,我试图删除所有完全匹配的项目,但它不起作用

你能帮我找出这段代码中的错误吗?我似乎不明白为什么它不起作用?

我的文本表单小部件没有滚动,同样的问题。我尝试添加 SingleChildScrollView 但它不起作用

我试图在 C# wpf 中获得击键,但它不起作用。我的代码错了吗?

我只想通过android发送get请求,但它不起作用,我的代码如下,

我正在尝试每天在特定时间执行我的代码但它不起作用

我写了一个程序,它应该在输入“n”或“N”时终止,但它不起作用

我用 ES6 编写了 javascript,但它在 IE 中不起作用。我怎么能改变旧版本的javascript

我想在 python 中显示输入错误消息,但它似乎不起作用

我正在尝试 HTML5 教程中的 sessionStorage 示例,但它不起作用,“rightbox”部分没有任何变化

我试图处理响应消息并在警报时打印但它不起作用

我写了一个窗口处理函数,但它在 selenium webdriver 中不起作用